ATTENTION: You are viewing a page formatted for mobile devices; to view the full web page, click HERE.

DonationCoder.com Software > The Form Letter Machine

Comments in Variable file?

(1/1)

P#m3t4p6:
Mouser,

Is it possible to add a comment line in the variable file?  For example - using a semicolon as the first character in the line?

; Comment line - ignored by "TheFormLetterMachine"

I am working on creating two configuration trees and I plan on submitting them and associated variable files to you for examples to use for newbies.

Awaiting your reply,
Ben

mouser:
great idea, and i'd love some example files!
i will add support for skipping over comments as you say.

mouser:
ps - i'm definitely looking for suggestions for improving the forum letter machine, so if you have some ideas, do let me know.

P#m3t4p6:
i will add support for skipping over comments as you say.
-mouser (September 06, 2005, 08:22 PM)
--- End quote ---

I found that any line that does not have an "=" sign is listed in the Value field.  Unfortunately, this takes up space in the Key/Variables window.  It would be helpful if a character could be defined so that the line would be ignored.

Ben

mouser:
yep, i will do as you say and make it ignore lines with ;
it will be updated in next version.

Navigation

[0] Message Index

Go to full version